Modularly Integrated MEMS Technology

Abstract: 
Process design, development and integration to fabricate reliable MEMS devices on top of VLSI-CMOS electronics without damaging the underlying circuitry have been investigated throughout this dissertation. Experimental and theoretical results that utilize two “Post-CMOS” integration approaches will be presented.
The first integration approach uses SiGe MEMS technology for the “Post-CMOS” monolithic integration of the MEMS devices with electronics. Interconnects between SiGe MEMS and Al-TiN metallized layers have been characterized and optimized. A thorough study on Boron doping and Ge content effects on the electrical, mechanical, and chemical properties of SiGe MEMS technology has been performed. Two CMOS-compatible micromachining fabrication procedures have been developed for RF and inertial sensing MEMS applications. First, a process flow that uses Ge ashing technique to define nanogaps in SiGe electrostatic MEMS transceivers for wireless communication applications has been demonstrated. Second, a multilayer SiGe MEMS process flow has been implemented for the fabrication of a freely moving disk used to pave the way towards an integrated electrostatically levitated disk sensor system for low loss inertial sensing applications. The sensor system is comprised of a disk-shaped proof-mass that is to be electrostatically suspended between sense and drive electrodes located above, below, and at the sides of the disk.
The second “Post-CMOS” integration employs the state-of-art “back-end” materials already available in the integrated circuitry to fabricate the MEMS devices. Copper-based MEMS technology is used for the fabrication of low loss RF MEMS switches directly on top of the electronics. A model accounting for multilayer cantilever beam deflection suitable for MEMS devices fabricated with conventional “back-end” materials was derived. Experimental results characterizing stress gradients in copper-based RF MEMS switches will be presented. The effect of Physical Vapor Deposition (PVD), Atomic Layer Deposition (ALD) deposited TaN films, and compressive SiN films on beam deformation have been studied, as well as the effect of annealing on the reliability properties of the RF MEMS switches.
Author: 
Tsu-Jae King
Sanjay Govindje
Publication date: 
May 31, 2006
Publication type: 
Ph.D. Dissertation
Citation: 
Eyoum, M. N. (2006). Modularly Integrated MEMS Technology. United States: University of California, Berkeley.

*Only registered BSAC Industrial Members may view project materials & publications. Click here to request member-only access.